电工学习网

 找回密码
 立即注册

什么是差分信号?差分信号传输原理

2016-5-31 08:52| 编辑:电工学习网| 查看: 20549| 评论: 0

 差分信号只是使用两根信号线传输一路信号,依靠信号间电压差进行判决的电路,既可以是模拟信号,也可以是数字信号。实际的信号都是模拟信号,数字信号只是模拟信号用门限电平量化后的取样结果。因此差分信号对于数字和模拟信号都可以定义。
    一个差分信号是用一个数值来表示两个物理量之间的差异。从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的。在某些系统里,系统“地”(GND)被用作电压基准点。当“地”当作电压测量基准时,这种信号规划被称之为单端的。我们使用该术语是因为信号是用单个导体上的电压来表示的。
    VDS不是传输速率快,是抗干扰能力强。有信号时,一棵线电压+V,另一棵线电压-V,接收端获得的信号是两者的差值+V-(-V)=2V。外界的干扰信号在两棵线中山上的是同样幅度和极性的+v信号,在接收端差值的过程中互相抵消了。由于抗干扰能力强,数字信号不易出错,可以避免因校验出错引起的重发,从这个意义上说差分信号传输速率。
    差分的概念在《模拟电路》课程里已经学习过了。差分信号是一对大小相等而极性相反的对称信号,差分信号用于传输有用的信号。共模信号是作用于差分信号线上的一对大小相等极性也相同的信号,共模信号往往来自于外部干扰。差分信号在接收端是靠差分放大器来检测的。差分放大器只对两路输入信号之间的差值起放大作用,而对两路输入信号共同对地的电位不起作用。
    差分传输的信号能够对外部干扰能够起到很强的抗干扰能力。
    原始的输入信号经过倒相器和缓冲器之后形成一对大小相等而极性相反的差分信号。对模拟信号,倒相器可以用运算放大器的反相比例放大电路来实现,缓冲器可以用运算放大器的同相跟随电路来实现。对数字信号,可以分别用“非门”逻辑和同相缓冲器来实现。
    差分信号在PCB(印制线路板)上被安排成“密近平行线”(PCB布线要领!),用电缆连接两台设备时则采用并行排线或双绞线。在差分信号传输过程中会遇到外部干扰信号,但是,由于两根差分信号线始终在一起,因此干扰信号一般都会同时作用在两根信号线上,形成叠加在两根信号线上大小相等相位也相同的共模信号。
    到了接收端,差分放大器只对差分信号(有用信号)敏感,而对共模信号(干扰信号)形成抑制。这样,差分传输的信号就具备了很强的抗干扰能力,因此特别适用于中远距离通信或高速通信。相比之下,UART的两根信号线TXD和RXD就不适合于远距离通信,因为不是差分信号,所以一旦遇到外部干扰,信号就会严重畸变,在接收端因无法区分有用信号的和干扰信号而会形成大量的误码。
要掌握RS-485通信的基本原理,就首先要明白什么是“差分信号”。差分的概念在《模拟电路》课程里已经学习过了。差分信号是一对大小相等而极性相反的对称信号,差分信号用于传输有用的信号。共模信号是作用于差分信号线上的一对大小相等极性也相同的信号,共模信号往往来自于外部干扰。差分信号在接收端是靠差分放大器来检测的。差分放大器只对两路输入信号之间的差值起放大作用,而对两路输入信号共同对地的电位不起作用。
差分传输的信号能够对外部干扰能够起到很强的抗干扰能力。
原始的输入信号经过倒相器和缓冲器之后形成一对大小相等而极性相反的差分信号。对模拟信号,倒相器可以用运算放大器的反相比例放大电路来实现,缓冲器可以用运算放大器的同相跟随电路来实现。对数字信号,可以分别用“非门”逻辑和同相缓冲器来实现。
差分信号在PCB(印制线路板)上被安排成“密近平行线”(PCB布线要领!),用电缆连接两台设备时则采用并行排线或双绞线。在差分信号传输过程中会遇到外部干扰信号,但是,由于两根差分信号线始终在一起,因此干扰信号一般都会同时作用在两根信号线上,形成叠加在两根信号线上大小相等相位也相同的共模信号。
到了接收端,差分放大器只对差分信号(有用信号)敏感,而对共模信号(干扰信号)形成抑制。这样,差分传输的信号就具备了很强的抗干扰能力,因此特别适用于中远距离通信或高速通信。相比之下,UART的两根信号线TXD和RXD就不适合于远距离通信,因为不是差分信号,所以一旦遇到外部干扰,信号就会严重畸变,在接收端因无法区分有用信号的和干扰信号而会形成大量的误码。
SP485是美国知名半导体制造商Sipex公司基于差分信号传输原理的RS-485通信专用芯片。SP485工作于半双工模式,输入和输出不能同时进行。/RE是输入使能信号,低电平有效;DE是输出使能信号,高电平有效;RO和DI分别接主机的输入和输出。一般/RE和DE可以一起接在MCU的某个控制I/O上,可随时切换收发方向。A和B是两路差分信号,能够输入或输出。
操作半双工的RS-485显然不够方便,但一大好处只有两根信号线,在做长距离设备互联时可以大量节省线材。Sipex半导体的另外一款RS-485芯片SP490是全双工的,可直接把UART的RXD和TXD分开地转换成差分信号,使用非常方便。

看过《什么是差分信号?差分信号传输原理》的人还看了以下文章:

发表评论

最新评论

  • 电子电路的核心是什么?主要传输什么信号?
  • 电工必知整流桥好坏的两种检测方法
  • 4个二极管整流和2个二极管整流出电压一样吗
  • 三分钟带你搞懂运算放大器与比较器的区别
  • PN结为什么可以单向导电?PN结单向导电原理
  • 38译码器真值表讲解
热点文章

电工学习网 ( )

GMT+8, 2021-12-6 21:00

Powered by © 2011-2021 www.shop-samurai.com 版权所有 免责声明 不良信息举报

技术驱动未来! 电工学习网—专业电工基础知识电工技术学习网站。

栏目导航: 工控家园 | 三菱plc | 西门子plc | 欧姆龙plc | plc视频教程

返回顶部