电工学习网

 找回密码
 立即注册

钟控型触发器的VHDL描述

2015-7-20 07:11| 编辑:电工学习网| 查看: 5497| 评论: 0

同步清零

LIBRARY IEEE; 

USE IEEE.STD_LOGIC_1164.ALL; 

ENTITY Dchu IS  

PORT (CLK,D:IN STD_LOGIC;         

Q:OUT STD_LOGIC;      

SCLK:IN STD_LOGIC);  

END; 

ARCHITECTURE FFQ OF Dchu IS  SIGNAL Q1:STD_LOGIC; 

BEGIN  

PROCESS (SCLK,CLK,Q1)   

BEGIN   

IF CLK'EVENT AND CLK='1' THEN    

IF SCLK='1' THEN    

 Q1<='0';    

ELSE Q1<=D;   

END IF;   

END IF;  

END PROCESS;   

Q<=Q1; END FFQ; 

异步置位

apre LIBRARY IEEE; 

USE IEEE.STD_LOGIC_1164.ALL; 

ENTITY Dchu IS  PORT (   CLK  : IN STD_LOGIC;   

D    : IN STD_LOGIC;   

Q    :OUT STD_LOGIC;      

APRE :IN STD_LOGIC       

);  E

ND; 

ARCHITECTURE FFQ OF Dchu IS  SIGNAL 

Q1:STD_LOGIC; 

BEGIN  PROCESS (APRE,CLK,Q1)   

BEGIN   IF APRE='1'     

THEN Q1<='1';   

ELSIF CLK'EVENT AND CLK='1'    

THEN Q1<=D;   

END IF;  

END PROCESS;   

Q<=Q1; END FFQ;

看过《钟控型触发器的VHDL描述》的人还看了以下文章:

发表评论

最新评论

  • 电子电路的核心是什么?主要传输什么信号?
  • 电工必知整流桥好坏的两种检测方法
  • 4个二极管整流和2个二极管整流出电压一样吗
  • 三分钟带你搞懂运算放大器与比较器的区别
  • PN结为什么可以单向导电?PN结单向导电原理
  • 38译码器真值表讲解
热点文章

电工学习网 ( )

GMT+8, 2021-12-6 21:03

Powered by © 2011-2021 www.shop-samurai.com 版权所有 免责声明 不良信息举报

技术驱动未来! 电工学习网—专业电工基础知识电工技术学习网站。

栏目导航: 工控家园 | 三菱plc | 西门子plc | 欧姆龙plc | plc视频教程

返回顶部