电工学习网

 找回密码
 立即注册

序列信号发生器的设计

2015-7-18 07:19| 编辑:电工学习网| 查看: 15532| 评论: 0

序列信号发生器是能够循环产生一组或多组序列信号的时序电路,它可以用以为寄存器或计数器构成。序列信号的种类很多,按照序列循环长度M和触发器数目n的关系一般可分为三种:

    • 最大循环长度序列码,M=2n

    • 最大线性序列码(m序列码),M=2n-1。

    • 任意循环长度序列码,M<2n


序列信号发生器是由移位寄存器添加反馈后构成;由于状态的变化受信号移位的限制,分析方法更为简单。

序列信号发生器的设计

通常在许多情况下,要求按照给定的序列信号来设计序列信号发生器。序列信号发生器一般有两种结构形式:一种是反馈移位型,另一种是计数型。

反馈移位型序列码发生器的结构框图如图所示,它由移位寄存器和组合反馈网络组成,从移存器的某一输出端可以得到周期性的序列码。其设计按以下步骤进行:

(1)根据给定序列信号的循环长度M,确定移存器位数n,2n-1<M≤2n

(2)确定移位寄存器的M个独立状态。

将给定的序列码按照移位规律n位一组,划分位M个状态。若M个状态中出现重复现象,则应增加移存器位数 。用n+1位再重复上述过程,直到划分为M个独立状态为止。

                (反馈移位型序列信号发生器框图)

(3)根据M个不同状态列出移存气的状态表和反馈函数表,求出反馈函数F的表式。

(4)检查自启动性能。

(5)画逻辑图。
下面通过举例说明反馈移位序列信号发生器的设计过程。

计数型序列码发生器结构框图如图所示。它由计数器和组合输出网络两部分组成,序列码从组合输出网络输出。设计过程分两步:

(1) 根据序列码的长度M设计模M计数器,状态可以自定;

(2) 按计数器的状态转移关系和序列码的要求设计组合输出网络。由于计数器的状态设置和输出序列的更改比较方便,而且还能同时产生多组序列码。

看过《序列信号发生器的设计》的人还看了以下文章:

发表评论

最新评论

  • 电子电路的核心是什么?主要传输什么信号?
  • 电工必知整流桥好坏的两种检测方法
  • 4个二极管整流和2个二极管整流出电压一样吗
  • 三分钟带你搞懂运算放大器与比较器的区别
  • PN结为什么可以单向导电?PN结单向导电原理
  • 38译码器真值表讲解
热点文章

电工学习网 ( )

GMT+8, 2021-12-6 21:03

Powered by © 2011-2021 www.shop-samurai.com 版权所有 免责声明 不良信息举报

技术驱动未来! 电工学习网—专业电工基础知识电工技术学习网站。

栏目导航: 工控家园 | 三菱plc | 西门子plc | 欧姆龙plc | plc视频教程

返回顶部